RELATEED CONSULTING
相关咨询
选择下列产品马上在线沟通
服务时间:8:30-17:00
你可能遇到了下面的问题
关闭右侧工具栏

新闻中心

这里有您想知道的互联网营销解决方案
怎么使用matlab生成sine波coe文件

小编给大家分享一下怎么使用matlab生成sine波coe文件,相信大部分人都还不怎么了解,因此分享这篇文章给大家参考一下,希望大家阅读完这篇文章后大有收获,下面让我们一起去了解一下吧!

专注于为中小企业提供成都网站设计、做网站服务,电脑端+手机端+微信端的三站合一,更高效的管理,为中小企业青海免费做网站提供优质的服务。我们立足成都,凝聚了一批互联网行业人才,有力地推动了数千家企业的稳健成长,帮助中小企业通过网站建设实现规模扩充和转变。

              使用matlab生成sine波coe文件

在xilinx ISE中我们使用ROM经常会用到.coe文件,coe文件为xilinx ROM的初始化文件。

Coe文件举例说明:

MEMORY_INITIALIZATION_RADIX=10;  %10代表10进制  ,2代表2进制,8代表8进制,16代表16进制。

MEMORY_INITIALIZATION_VECTOR=  

2500,

2663,

2826,

2987,

...

...

...

2012,

2173,

2336;

Matlab源码:

clear all

close all

clc

width =16;

f=1000;

fs=96000;%96KHZ 采样频率

N=96;

t=(0:N-1)/fs;

digits(5)

sin0=sin(2*pi*f*t)*3.25+3.25;

fid = fopen('E:\ise_project\audio\coe\sim_rom0.coe','w');%文件存放路径

fprintf(fid,'MEMORY_INITIALIZATION_RADIX=%d; \n',width);

fprintf(fid,'MEMORY_INITIALIZATION_VECTOR=  \n');  

for(k=1:N-1)  

    fprintf(fid,'%d, \n',sin0(k));

end

fprintf(fid,'%d; \n',sin0(N));%每个数据后面用逗号或者空格或者换行符隔开,最后一个数据后面加分号

plot(t,sin0,'*');

结果:

怎么使用matlab生成sine波coe文件

在FPGA上使用da输出示波器显示结果:

怎么使用matlab生成sine波coe文件以上是“怎么使用matlab生成sine波coe文件”这篇文章的所有内容,感谢各位的阅读!相信大家都有了一定的了解,希望分享的内容对大家有所帮助,如果还想学习更多知识,欢迎关注创新互联行业资讯频道!


本文题目:怎么使用matlab生成sine波coe文件
分享地址:http://scyingshan.cn/article/jescii.html